ACM International Conference on Computer-Aided Design, ICCAD 2016


Title/Authors Title Research Artifacts
[?] A research artifact is any by-product of a research project that is not directly included in the published research paper. In Computer Science research this is often source code and data sets, but it could also be media, documentation, inputs to proof assistants, shell-scripts to run experiments, etc.
Details

Joint loop mapping and data placement for coarse-grained reconfigurable architecture with multi-bank memory

Shouyi Yin, Xianqing Yao, Tianyi Lu, Leibo Liu, Shaojun Wei

Joint loop mapping and data placement for coarse-grained reconfigurable architecture with multi-bank memory

Details
Discussion Comments: 0
Verification: Authors have not verified information

Efficient and accurate analysis of single event transients propagation using SMT-based techniques

Ghaith Bany Hamad, Ghaith Kazma, Otmane Aït Mohamed, Yvon Savaria

Efficient and accurate analysis of single event transients propagation using SMT-based techniques

Details
Discussion Comments: 0
Verification: Authors have not verified information

Analytic approaches to the collapse operation and equivalence verification of threshold logic circuits

Nian-Ze Lee, Hao-Yuan Kuo, Yi-Hsiang Lai, Jie-Hong R. Jiang

Analytic approaches to the collapse operation and equivalence verification of threshold logic circuits

Details
Discussion Comments: 0
Verification: Authors have not verified information

Efficient synthesis of graph methods: a dynamically scheduled architecture

Marco Minutoli, Vito Giovanni Castellana, Antonino Tumeo, Marco Lattuada, Fabrizio Ferrandi

Efficient synthesis of graph methods: a dynamically scheduled architecture

Details
Discussion Comments: 0
Verification: Authors have not verified information

Multilevel design understanding: from specification to logic (invited paper)

Sandip Ray, Ian G. Harris, Görschwin Fey, Mathias Soeken

Multilevel design understanding: from specification to logic (invited paper)

Details
Discussion Comments: 0
Verification: Authors have not verified information

An optimization-theoretic approach for attacking physical unclonable functions

Yuntao Liu, Yang Xie, Chongxi Bao, Ankur Srivastava

An optimization-theoretic approach for attacking physical unclonable functions

Details
Discussion Comments: 0
Verification: Authors have not verified information

Fast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications

Ana Petkovska, Alan Mishchenko, Mathias Soeken, Giovanni De Micheli, Robert K. Brayton, Paolo Ienne

Fast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications

Details
Discussion Comments: 0
Verification: Authors have not verified information

QScale: thermally-efficient QoS management on heterogeneous mobile platforms

Onur Sahin, Ayse K. Coskun

QScale: thermally-efficient QoS management on heterogeneous mobile platforms

Details
Discussion Comments: 0
Verification: Authors have not verified information

Performance driven routing for modern FPGAs

Parivallal Kannan, Satish Sivaswamy

Performance driven routing for modern FPGAs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Security engineering of nanostructures and nanomaterials

Davood Shahrjerdi, Bayan Nasri, D. Armstrong, Abdullah Alharbi, Ramesh Karri

Security engineering of nanostructures and nanomaterials

Details
Discussion Comments: 0
Verification: Authors have not verified information

A flash-based digital circuit design flow

Monther Abusultan, Sunil P. Khatri

A flash-based digital circuit design flow

Details
Discussion Comments: 0
Verification: Authors have not verified information

MrDP: multiple-row detailed placement of heterogeneous-sized cells for advanced nodes

Yibo Lin, Bei Yu, Xiaoqing Xu, Jhih-Rong Gao, Natarajan Viswanathan, Wen-Hao Liu, Zhuo Li, Charles J. Alpert, David Z. Pan

MrDP: multiple-row detailed placement of heterogeneous-sized cells for advanced nodes

Details
Discussion Comments: 0
Verification: Authors have not verified information

Voltage-based electromigration immortality check for general multi-branch interconnects

Zeyu Sun, Ertugrul Demircan, Mehul D. Shroff, Taeyoung Kim, Xin Huang, Sheldon X.-D. Tan

Voltage-based electromigration immortality check for general multi-branch interconnects

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced no artifacts
Verification: Authors have verified information

Making split-fabrication more secure

Ping-Lin Yang, Malgorzata Marek-Sadowska

Making split-fabrication more secure

Details
Discussion Comments: 0
Verification: Authors have not verified information

A hardware-based technique for efficient implicit information flow tracking

Jangseop Shin, Hongce Zhang, Jinyong Lee, Ingoo Heo, Yu-Yuan Chen, Ruby B. Lee, Yunheung Paek

A hardware-based technique for efficient implicit information flow tracking

Details
Discussion Comments: 0
Verification: Authors have not verified information

Analysis of production data manipulation attacks in petroleum cyber-physical systems

Xiaodao Chen, Yuchen Zhou, Hong Zhou, Chaowei Wan, Qi Zhu, Wenchao Li, Shiyan Hu

Analysis of production data manipulation attacks in petroleum cyber-physical systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

Improved flop tray-based design implementation for power reduction

Andrew B. Kahng, Jiajia Li, Lutong Wang

Improved flop tray-based design implementation for power reduction

Details
Discussion Comments: 0
Verification: Authors have not verified information

Redistribution layer routing for integrated fan-out wafer-level chip-scale packages

Bo-Qiao Lin, Ting-Chou Lin, Yao-Wen Chang

Redistribution layer routing for integrated fan-out wafer-level chip-scale packages

Details
Discussion Comments: 0
Verification: Authors have not verified information

Statistical methodology to identify optimal placement of on-chip process monitors for predicting fmax

Szu-Pang Mu, Wen-Hsiang Chang, Mango C.-T. Chao, Yi-Ming Wang, Ming-Tung Chang, Min-Hsiu Tsai

Statistical methodology to identify optimal placement of on-chip process monitors for predicting fmax

Details
Discussion Comments: 0
Verification: Authors have not verified information

A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops

Giuseppe Natale, Giulio Stramondo, Pietro Bressana, Riccardo Cattaneo, Donatella Sciuto, Marco D. Santambrogio

A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops

Details
Discussion Comments: 0
Verification: Authors have not verified information

Autonomous sensor-context learning in dynamic human-centered internet-of-things environments

Seyed Ali Rokni, Hassan Ghasemzadeh

Autonomous sensor-context learning in dynamic human-centered internet-of-things environments

Details
Discussion Comments: 0
Verification: Authors have not verified information

BoostNoC: power efficient network-on-chip architecture for near threshold computing

Chidhambaranathan Rajamanikkam, Rajesh J. S., Koushik Chakraborty, Sanghamitra Roy

BoostNoC: power efficient network-on-chip architecture for near threshold computing

Details
Discussion Comments: 0
Verification: Authors have not verified information

Overview of the 2016 CAD contest at ICCAD

Shih-Hsu Huang, Rung-Bin Lin, Myung-Chul Kim, Shigetoshi Nakatake

Overview of the 2016 CAD contest at ICCAD

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced no artifacts
Verification: Authors have verified information

Duplex: simultaneous parameter-performance exploration for optimizing analog circuits

Seyed Nematollah Ahmadyan, Shobha Vasudevan

Duplex: simultaneous parameter-performance exploration for optimizing analog circuits

Details
Discussion Comments: 0
Verification: Authors have not verified information

GPlace: a congestion-aware placement tool for ultrascale FPGAs

Ryan Pattison, Ziad Abuowaimer, Shawki Areibi, Gary Gréwal, Anthony Vannelli

GPlace: a congestion-aware placement tool for ultrascale FPGAs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Generation and use of statistical timing macro-models considering slew and load variability

Debjit Sinha, Vladimir Zolotov, Jin Hu, Sheshashayee K. Raghunathan, Adil Bhanji, Christine M. Casey

Generation and use of statistical timing macro-models considering slew and load variability

Details
Discussion Comments: 0
Verification: Authors have not verified information

Formulating customized specifications for resource allocation problem of distributed embedded systems

Xinhai Zhang, Lei Feng, Martin Törngren, De-Jiu Chen

Formulating customized specifications for resource allocation problem of distributed embedded systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

Encasing block ciphers to foil key recovery attempts via side channel

Giovanni Agosta, Alessandro Barenghi, Gerardo Pelosi, Michele Scandale

Encasing block ciphers to foil key recovery attempts via side channel

Details
Discussion Comments: 0
Verification: Authors have not verified information

Reconfigurable in-memory computing with resistive memory crossbar

Yue Zha, Jing Li

Reconfigurable in-memory computing with resistive memory crossbar

Details
Discussion Comments: 0
Verification: Authors have not verified information

Imprecise security: quality and complexity tradeoffs for hardware information flow tracking

Wei Hu, Andrew Becker, Armita Ardeshiricham, Yu Tai, Paolo Ienne, Dejun Mu, Ryan Kastner

Imprecise security: quality and complexity tradeoffs for hardware information flow tracking

Details
Discussion Comments: 0
Verification: Authors have not verified information

Enabling online learning in lithography hotspot detection with information-theoretic feature optimization

Hang Zhang, Bei Yu, Evangeline F. Y. Young

Enabling online learning in lithography hotspot detection with information-theoretic feature optimization

Details
Discussion Comments: 0
Verification: Authors have not verified information

A novel unified dummy fill insertion framework with SQP-based optimization method

Yudong Tao, Changhao Yan, Yibo Lin, Sheng-Guo Wang, David Z. Pan, Xuan Zeng

A novel unified dummy fill insertion framework with SQP-based optimization method

Details
Discussion Comments: 0
Verification: Authors have not verified information

KCAD: kinetic cyber-attack detection method for cyber-physical additive manufacturing systems

Sujit Rokka Chhetri, Arquimedes Canedo, Mohammad Abdullah Al Faruque

KCAD: kinetic cyber-attack detection method for cyber-physical additive manufacturing systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

Compact oscillation neuron exploiting metal-insulator-transition for neuromorphic computing

Pai-Yu Chen, Jae-sun Seo, Yu Cao, Shimeng Yu

Compact oscillation neuron exploiting metal-insulator-transition for neuromorphic computing

Details
Discussion Comments: 0
Verification: Authors have not verified information

Security of neuromorphic computing: thwarting learning attacks using memristor's obsolescence effect

Chaofei Yang, Beiye Liu, Hai Li, Yiran Chen, Wujie Wen, Mark Barnell, Qing Wu, Jeyavijayan Rajendran

Security of neuromorphic computing: thwarting learning attacks using memristor's obsolescence effect

Details
Discussion Comments: 0
Verification: Authors have not verified information

A tensor-based volterra series black-box nonlinear system identification and simulation framework

Kim Batselier, Zhongming Chen, Haotian Liu, Ngai Wong

A tensor-based volterra series black-box nonlinear system identification and simulation framework

Details
Discussion Comments: 0
Verification: Authors have not verified information

Provably secure camouflaging strategy for IC protection

Meng Li, Kaveh Shamsi, Travis Meade, Zheng Zhao, Bei Yu, Yier Jin, David Z. Pan

Provably secure camouflaging strategy for IC protection

Details
Discussion Comments: 0
Verification: Authors have not verified information

Automated error prediction for approximate sequential circuits

Amrut Kapare, Hari Cherupalli, John Sartori

Automated error prediction for approximate sequential circuits

Details
Discussion Comments: 0
Verification: Authors have not verified information

Control synthesis and delay sensor deployment for efficient ASV designs

Chaofan Li, Sachin S. Sapatnekar, Jiang Hu

Control synthesis and delay sensor deployment for efficient ASV designs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Efficient yield estimation through generalized importance sampling with application to NBL-assisted SRAM bitcells

Lorenzo Ciampolini, Jean-Christophe Lafont, Faress Tissafi Drissi, Jean-Paul Morin, David Turgis, Xavier Jonsson, Cyril Desclèves, Joseph Nguyen

Efficient yield estimation through generalized importance sampling with application to NBL-assisted SRAM bitcells

Details
Discussion Comments: 0
Verification: Authors have not verified information

Scalable, high-quality, SAT-based multi-layer escape routing

Sam Bayless, Holger H. Hoos, Alan J. Hu

Scalable, high-quality, SAT-based multi-layer escape routing

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

The art of semi-formal bug hunting

Pradeep Kumar Nalla, Raj Kumar Gajavelly, Jason Baumgartner, Hari Mony, Robert Kanzelman, Alexander Ivrii

The art of semi-formal bug hunting

Details
Discussion Comments: 0
Verification: Authors have not verified information

A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel

Sicheng Li, Yandan Wang, Wujie Wen, Yu Wang, Yiran Chen, Hai Li

A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel

Details
Discussion Comments: 0
Verification: Authors have not verified information

Model-based design of resource-efficient automotive control software

Wanli Chang, Debayan Roy, Licong Zhang, Samarjit Chakraborty

Model-based design of resource-efficient automotive control software

Details
Discussion Comments: 0
Verification: Authors have not verified information

Delay-optimal technology mapping for in-memory computing using ReRAM devices

Debjyoti Bhattacharjee, Anupam Chattopadhyay

Delay-optimal technology mapping for in-memory computing using ReRAM devices

Details
Discussion Comments: 0
Verification: Authors have not verified information

Allocation of multi-bit flip-flops in logic synthesis for power optimization

Dongyoun Yi, Taewhan Kim

Allocation of multi-bit flip-flops in logic synthesis for power optimization

Details
Discussion Comments: 0
Verification: Authors have not verified information

OpenDesign flow database: the infrastructure for VLSI design and design automation research

Jinwook Jung, Iris Hui-Ru Jiang, Gi-Joon Nam, Victor N. Kravets, Laleh Behjat, Yih-Lang Li

OpenDesign flow database: the infrastructure for VLSI design and design automation research

Details
Discussion Comments: 0
Verification: Authors have not verified information

Malicious LUT: a stealthy FPGA trojan injected and triggered by the design flow

Christian Krieg, Clifford Wolf, Axel Jantsch

Malicious LUT: a stealthy FPGA trojan injected and triggered by the design flow

Details
Discussion Comments: 0
Verification: Authors have not verified information

Where formal verification can help in functional safety analysis

Alessandro Bernardini, Wolfgang Ecker, Ulf Schlichtmann

Where formal verification can help in functional safety analysis

Details
Discussion Comments: 0
Verification: Authors have not verified information

Architectural-space exploration of approximate multipliers

Semeen Rehman, Walaa El-Harouni, Muhammad Shafique, Akash Kumar, Jörg Henkel

Architectural-space exploration of approximate multipliers

Details
Discussion Comments: 0
Verification: Authors have not verified information

Efficient statistical validation of machine learning systems for autonomous driving

Weijing Shi, Mohamed Baker Alawieh, Xin Li, Huafeng Yu, Nikos Aréchiga, Nobuyuki Tomatsu

Efficient statistical validation of machine learning systems for autonomous driving

Details
Discussion Comments: 0
Verification: Authors have not verified information

Tier partitioning strategy to mitigate BEOL degradation and cost issues in monolithic 3D ICs

Sandeep Kumar Samal, Deepak Nayak, Motoi Ichihashi, Srinivasa Banna, Sung Kyu Lim

Tier partitioning strategy to mitigate BEOL degradation and cost issues in monolithic 3D ICs

Details
Discussion Comments: 0
Verification: Authors have not verified information

NVSim-CAM: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory

Shuangchen Li, Liu Liu, Peng Gu, Cong Xu, Yuan Xie

NVSim-CAM: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory

Details
Discussion Comments: 0
Verification: Authors have not verified information

Resiliency in dynamically power managed designs

Liangzhen Lai, Vikas Chandra, Rob Aitken

Resiliency in dynamically power managed designs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Design space exploration of drone infrastructure for large-scale delivery services

Sangyoung Park, Licong Zhang, Samarjit Chakraborty

Design space exploration of drone infrastructure for large-scale delivery services

Details
Discussion Comments: 0
Verification: Authors have not verified information

Testing automotive embedded systems under X-in-the-loop setups

Ghizlane Tibba, Christoph Malz, Christoph Stoermer, Natarajan Nagarajan, Licong Zhang, Samarjit Chakraborty

Testing automotive embedded systems under X-in-the-loop setups

Details
Discussion Comments: 0
Verification: Authors have not verified information

Formal approaches to design of active cell balancing architectures in battery management systems

Sebastian Steinhorst, Martin Lukasiewycz

Formal approaches to design of active cell balancing architectures in battery management systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

Dynamic reliability management for near-threshold dark silicon processors

Taeyoung Kim, Zeyu Sun, Chase Cook, Jagadeesh Gaddipati, Hai Wang, Hai-Bao Chen, Sheldon X.-D. Tan

Dynamic reliability management for near-threshold dark silicon processors

Details
Discussion Comments: 0
Verification: Authors have not verified information

A fast layer elimination approach for power grid reduction

Abdul-Amir Yassine, Farid N. Najm

A fast layer elimination approach for power grid reduction

Details
Discussion Comments: 0
Verification: Authors have not verified information

Parallel code-specific CPU simulation with dynamic phase convergence modeling for HW/SW co-design

Warren Kemmerer, Wei Zuo, Deming Chen

Parallel code-specific CPU simulation with dynamic phase convergence modeling for HW/SW co-design

Details
Discussion Comments: 0
Verification: Authors have not verified information

Approximation knob: power capping meets energy efficiency

Anil Kanduri, Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Axel Jantsch, Nikil D. Dutt, Hannu Tenhunen

Approximation knob: power capping meets energy efficiency

Details
Discussion Comments: 0
Verification: Authors have not verified information

SAINT: handling module folding and alignment in fixed-outline floorplans for 3D ICs

Jai-Ming Lin, Po-Yang Chiu, Yen-Fu Chang

SAINT: handling module folding and alignment in fixed-outline floorplans for 3D ICs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Efficient memory compression in deep neural networks using coarse-grain sparsification for speech applications

Deepak Kadetotad, Sairam Arunachalam, Chaitali Chakrabarti, Jae-sun Seo

Efficient memory compression in deep neural networks using coarse-grain sparsification for speech applications

Details
Discussion Comments: 0
Verification: Authors have not verified information

Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation

Handi Yu, Jun Tao, Changhai Liao, Yangfeng Su, Dian Zhou, Xuan Zeng, Xin Li

Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation

Details
Discussion Comments: 0
Verification: Authors have not verified information

Error recovery in a micro-electrode-dot-array digital microfluidic biochip?

Zipeng Li, Kelvin Yi-Tse Lai, Po-Hsien Yu, Krishnendu Chakrabarty, Miroslav Pajic, Tsung-Yi Ho, Chen-Yi Lee

Error recovery in a micro-electrode-dot-array digital microfluidic biochip?

Details
Discussion Comments: 0
Verification: Authors have not verified information

Energy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms

Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, Krishnendu Chakrabarty

Energy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms

Details
Discussion Comments: 0
Verification: Authors have not verified information

Multi-objective design optimization for flexible hybrid electronics

Ganapati Bhat, Ujjwal Gupta, Nicholas Tran, Jaehyun Park, Sule Ozev, Ümit Y. Ogras

Multi-objective design optimization for flexible hybrid electronics

Details
Discussion Comments: 0
Verification: Authors have not verified information

Compiled symbolic simulation for systemC

Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler

Compiled symbolic simulation for systemC

Details
Discussion Comments: 0
Verification: Authors have not verified information

Neural networks designing neural networks: multi-objective hyper-parameter optimization

Sean C. Smithson, Guang Yang, Warren J. Gross, Brett H. Meyer

Neural networks designing neural networks: multi-objective hyper-parameter optimization

Details
Discussion Comments: 0
Verification: Authors have not verified information

Chip editor: leveraging circuit edit for logic obfuscation and trusted fabrication

Bicky Shakya, Navid Asadizanjani, Domenic Forte, Mark Mohammad Tehranipoor

Chip editor: leveraging circuit edit for logic obfuscation and trusted fabrication

Details
Discussion Comments: 0
Verification: Authors have not verified information

ICCAD-2016 CAD contest in pattern classification for integrated circuit design space analysis and benchmark suite

Rasit Onur Topaloglu

ICCAD-2016 CAD contest in pattern classification for integrated circuit design space analysis and benchmark suite

Details
Discussion Comments: 0
Verification: Author has not verified information

VCR: simultaneous via-template and cut-template-aware routing for directed self-assembly technology

Yu-Hsuan Su, Yao-Wen Chang

VCR: simultaneous via-template and cut-template-aware routing for directed self-assembly technology

Details
Discussion Comments: 0
Verification: Authors have not verified information

TinySPICE plus: scaling up statistical SPICE simulations on GPU leveraging shared-memory based sparse matrix solution techniques

Lengfei Han, Zhuo Feng

TinySPICE plus: scaling up statistical SPICE simulations on GPU leveraging shared-memory based sparse matrix solution techniques

Details
Discussion Comments: 0
Verification: Authors have not verified information

OWARU: free space-aware timing-driven incremental placement

Jinwook Jung, Gi-Joon Nam, Lakshmi N. Reddy, Iris Hui-Ru Jiang, Youngsoo Shin

OWARU: free space-aware timing-driven incremental placement

Details
Discussion Comments: 0
Verification: Authors have not verified information

From biochips to quantum circuits: computer-aided design for emerging technologies

Robert Wille, Bing Li, Ulf Schlichtmann, Rolf Drechsler

From biochips to quantum circuits: computer-aided design for emerging technologies

Details
Discussion Comments: 0
Verification: Authors have not verified information

LRR-DPUF: learning resilient and reliable digital physical unclonable function

Jin Miao, Meng Li, Subhendu Roy, Bei Yu

LRR-DPUF: learning resilient and reliable digital physical unclonable function

Details
Discussion Comments: 0
Verification: Authors have not verified information

CamoPerturb: secure IC camouflaging for minterm protection

Muhammad Yasin, Bodhisatwa Mazumdar, Ozgur Sinanoglu, Jeyavijayan Rajendran

CamoPerturb: secure IC camouflaging for minterm protection

Details
Discussion Comments: 0
Verification: Authors have not verified information

RC-aware global routing

Rudolf Scheifele

RC-aware global routing

Details
Discussion Comments: 0
Verification: Author has not verified information

A new tightly-coupled transient electro-thermal simulation method for power electronics

Quan Chen, Wim Schoenmaker

A new tightly-coupled transient electro-thermal simulation method for power electronics

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced no artifacts
Verification: Authors have verified information

On detecting delay anomalies introduced by hardware trojans

Dylan Ismari, Jim Plusquellic, Charles Lamech, Swarup Bhunia, Fareena Saqib

On detecting delay anomalies introduced by hardware trojans

Details
Discussion Comments: 0
Verification: Authors have not verified information

CONVINCE: a cross-layer modeling, exploration and validation framework for next-generation connected vehicles

Bowen Zheng, Chung-Wei Lin, Huafeng Yu, Hengyi Liang, Qi Zhu

CONVINCE: a cross-layer modeling, exploration and validation framework for next-generation connected vehicles

Details
Discussion Comments: 0
Verification: Authors have not verified information

The architecture value engine: measuring and delivering sustainable SoC improvement

Juan Antonio Carballo, Bangqi Xu

The architecture value engine: measuring and delivering sustainable SoC improvement

Details
Discussion Comments: 0
Verification: Authors have not verified information

Design of power-efficient approximate multipliers for approximate artificial neural networks

Vojtech Mrazek, Syed Shakib Sarwar, Lukás Sekanina, Zdenek Vasícek, Kaushik Roy

Design of power-efficient approximate multipliers for approximate artificial neural networks

Details
Discussion Comments: 0
Verification: Authors have not verified information

PieceTimer: a holistic timing analysis framework considering setup/hold time interdependency using a piecewise model

Grace Li Zhang, Bing Li, Ulf Schlichtmann

PieceTimer: a holistic timing analysis framework considering setup/hold time interdependency using a piecewise model

Details
Discussion Comments: 0
Verification: Authors have not verified information

Multibank memory optimization for parallel data access in multiple data arrays

Shouyi Yin, Zhicong Xie, Chenyue Meng, Leibo Liu, Shaojun Wei

Multibank memory optimization for parallel data access in multiple data arrays

Details
Discussion Comments: 0
Verification: Authors have not verified information

TASA: toolchain-agnostic static software randomisation for critical real-time systems

Leonidas Kosmidis, Roberto Vargas, David Morales, Eduardo Quiñones, Jaume Abella, Francisco J. Cazorla

TASA: toolchain-agnostic static software randomisation for critical real-time systems

Details
Author Comments: Due to licensing restrictions, TASA is only distributed in binary form upon request. The hardware platform on which TASA was evaluated can be obtained from http://www.gaisler.com/index.php/products/processors/leon3
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

Control-fluidic CoDesign for paper-based digital microfluidic biochips

Qin Wang, Zeyan Li, Haena Cheong, Oh-Sun Kwon, Hailong Yao, Tsung-Yi Ho, Kwanwoo Shin, Bing Li, Ulf Schlichtmann, Yici Cai

Control-fluidic CoDesign for paper-based digital microfluidic biochips

Details
Discussion Comments: 0
Verification: Authors have not verified information

Incorporating cut redistribution with mask assignment to enable 1D gridded design

Jian Kuang, Evangeline F. Y. Young, Bei Yu

Incorporating cut redistribution with mask assignment to enable 1D gridded design

Details
Discussion Comments: 0
Verification: Authors have not verified information

Synthesis of statically analyzable accelerator networks from sequential programs

Shaoyi Cheng, John Wawrzynek

Synthesis of statically analyzable accelerator networks from sequential programs

Details
Discussion Comments: 0
Verification: Authors have not verified information

IC thermal analyzer for versatile 3-D structures using multigrid preconditioned krylov methods

Scott Ladenheim, Yi-Chung Chen, Milan Mihajlovic, Vasilis F. Pavlidis

IC thermal analyzer for versatile 3-D structures using multigrid preconditioned krylov methods

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

A machine learning approach to fab-of-origin attestation

Ali Ahmadi, Mohammad-Mahdi Bidmeshki, Amit Nahar, Bob Orr, Michael Pas, Yiorgos Makris

A machine learning approach to fab-of-origin attestation

Details
Discussion Comments: 0
Verification: Authors have not verified information

A cross-layer approach for resiliency and energy efficiency in near threshold computing

Mohammad Saber Golanbari, Anteneh Gebregiorgis, Fabian Oboril, Saman Kiamehr, Mehdi Baradaran Tahoori

A cross-layer approach for resiliency and energy efficiency in near threshold computing

Details
Discussion Comments: 0
Verification: Authors have not verified information

Caffeine: towards uniformed representation and acceleration for deep convolutional neural networks

Chen Zhang, Zhenman Fang, Peipei Zhou, Peichen Pan, Jason Cong

Caffeine: towards uniformed representation and acceleration for deep convolutional neural networks

Details
Discussion Comments: 0
Verification: Authors have not verified information

Scope - quality retaining display rendering workload scaling based on user-smartphone distance

Kent W. Nixon, Xiang Chen, Yiran Chen

Scope - quality retaining display rendering workload scaling based on user-smartphone distance

Details
Discussion Comments: 0
Verification: Authors have not verified information

The hype, myths, and realities of testing 3D integrated circuits

Ran Wang, Sergej Deutsch, Mukesh Agrawal, Krishnendu Chakrabarty

The hype, myths, and realities of testing 3D integrated circuits

Details
Discussion Comments: 0
Verification: Authors have not verified information

Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5D/3D integration

Dylan C. Stow, Itir Akgun, Russell Barnes, Peng Gu, Yuan Xie

Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5D/3D integration

Details
Author Comments:
Discussion Comments: 0
Sharing: Not able to share produced artifacts
Verification: Authors have verified information

BugMD: automatic mismatch diagnosis for bug triaging

Biruk Mammo, Milind Furia, Valeria Bertacco, Scott A. Mahlke, Daya Shanker Khudia

BugMD: automatic mismatch diagnosis for bug triaging

Details
Discussion Comments: 0
Verification: Authors have not verified information

Exploring aging deceleration in FinFET-based multi-core systems

Ermao Cai, Dimitrios Stamoulis, Diana Marculescu

Exploring aging deceleration in FinFET-based multi-core systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

Splitting functions in code management on scratchpad memories

Youngbin Kim, Jian Cai, Yooseong Kim, Kyoungwoo Lee, Aviral Shrivastava

Splitting functions in code management on scratchpad memories

Details
Discussion Comments: 0
Verification: Authors have not verified information

Making neural encoding robust and energy efficient: an advanced analog temporal encoder for brain-inspired computing systems

Chenyuan Zhao, Jialing Li, Yang Yi

Making neural encoding robust and energy efficient: an advanced analog temporal encoder for brain-inspired computing systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

UTPlaceF: a routability-driven FPGA placer with physical and congestion aware packing

Wuxi Li, Shounak Dhar, David Z. Pan

UTPlaceF: a routability-driven FPGA placer with physical and congestion aware packing

Details
Discussion Comments: 0
Verification: Authors have not verified information

Power delivery in 3D packages: current crowding effects, dynamic IR drop and compensation network using sensors (invited paper)

Sukeshwar Kannan, Mehdi Sadi, Luke England

Power delivery in 3D packages: current crowding effects, dynamic IR drop and compensation network using sensors (invited paper)

Details
Discussion Comments: 0
Verification: Authors have not verified information

Security and privacy threats to on-chip non-volatile memories and countermeasures

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, Asmit De, Jae-Won Jang

Security and privacy threats to on-chip non-volatile memories and countermeasures

Details
Discussion Comments: 0
Verification: Authors have not verified information

Exploiting randomness in sketching for efficient hardware implementation of machine learning applications

Ye Wang, Constantine Caramanis, Michael Orshansky

Exploiting randomness in sketching for efficient hardware implementation of machine learning applications

Details
Discussion Comments: 0
Verification: Authors have not verified information

Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits

Xunzhao Yin, Ahmedullah Aziz, Joseph Nahas, Suman Datta, Sumeet Kumar Gupta, Michael T. Niemier, Xiaobo Sharon Hu

Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits

Details
Discussion Comments: 0
Verification: Authors have not verified information

A deterministic approach to stochastic computation

Devon Jenson, Marc D. Riedel

A deterministic approach to stochastic computation

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced no artifacts
Verification: Authors have verified information

RippleFPGA: a routability-driven placement for large-scale heterogeneous FPGAs

Chak-Wa Pui, Gengjie Chen, Wing-Kai Chow, Ka-Chun Lam, Jian Kuang, Peishan Tu, Hang Zhang, Evangeline F. Y. Young, Bei Yu

RippleFPGA: a routability-driven placement for large-scale heterogeneous FPGAs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Exact diagnosis using boolean satisfiability

Heinz Riener, Görschwin Fey

Exact diagnosis using boolean satisfiability

Details
Discussion Comments: 0
Verification: Authors have not verified information

Privacy protection via appliance scheduling in smart homes

Jie Wu, Jinglan Liu, Xiaobo Sharon Hu, Yiyu Shi

Privacy protection via appliance scheduling in smart homes

Details
Discussion Comments: 0
Verification: Authors have not verified information

Adaptive performance prediction for integrated GPUs

Ujjwal Gupta, Joseph Campbell, Ümit Y. Ogras, Raid Ayoub, Michael Kishinevsky, Francesco Paterna, Suat Gumussoy

Adaptive performance prediction for integrated GPUs

Details
Discussion Comments: 0
Verification: Authors have not verified information

ICCAD-2016 CAD contest in large-scale identical fault search

Tangent Wei, Luke Lin

ICCAD-2016 CAD contest in large-scale identical fault search

Details
Discussion Comments: 0
Verification: Authors have not verified information

Measuring progress and value of IC implementation technology

Andrew B. Kahng, Hyein Lee, Jiajia Li

Measuring progress and value of IC implementation technology

Details
Discussion Comments: 0
Verification: Authors have not verified information

Critical path isolation for time-to-failure extension and lower voltage operation

Yutaka Masuda, Masanori Hashimoto, Takao Onoye

Critical path isolation for time-to-failure extension and lower voltage operation

Details
Discussion Comments: 0
Verification: Authors have not verified information

Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devices

Ying Wang, Huawei Li, Xiaowei Li

Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devices

Details
Discussion Comments: 0
Verification: Authors have not verified information

Design technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chip

Andrea Peano, Luca Ramini, Marco Gavanelli, Maddalena Nonato, Davide Bertozzi

Design technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chip

Details
Discussion Comments: 0
Verification: Authors have not verified information

Security challenges in smart surveillance systems and the solutions based on emerging nano-devices

Chaofei Yang, Chunpeng Wu, Hai Li, Yiran Chen, Mark Barnell, Qing Wu

Security challenges in smart surveillance systems and the solutions based on emerging nano-devices

Details
Discussion Comments: 0
Verification: Authors have not verified information

Framework designs to enhance reliable and timely services of disaster management systems

Chi-Sheng Shih, Pi-Cheng Hsiu, Yuan-Hao Chang, Tei-Wei Kuo

Framework designs to enhance reliable and timely services of disaster management systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

How much cost reduction justifies the adoption of monolithic 3D ICs at 7nm node?

Bon Woong Ku, Peter Debacker, Dragomir Milojevic, Praveen Raghavan, Sung Kyu Lim

How much cost reduction justifies the adoption of monolithic 3D ICs at 7nm node?

Details
Discussion Comments: 0
Verification: Authors have not verified information

Are proximity attacks a threat to the security of split manufacturing of integrated circuits?

Jonathon Magaña, Daohang Shi, Azadeh Davoodi

Are proximity attacks a threat to the security of split manufacturing of integrated circuits?

Details
Discussion Comments: 0
Verification: Authors have not verified information

Circuit valorization in the IC design ecosystem

José Pineda de Gyvez, Hamed Fatemi, Maarten Vertregt

Circuit valorization in the IC design ecosystem

Details
Discussion Comments: 0
Verification: Authors have not verified information

OpenRAM: an open-source memory compiler

Matthew R. Guthaus, James E. Stine, Samira Ataei, Brian Chen, Bin Wu, Mehedi Sarwar

OpenRAM: an open-source memory compiler

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools

Kyungwook Chang, Saurabh Sinha, Brian Cline, Raney Southerland, Michael Doherty, Greg Yeric, Sung Kyu Lim

Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools

Details
Discussion Comments: 0
Verification: Authors have not verified information

Fast physics-based electromigration checking for on-die power grids

Sandeep Chatterjee, Valeriy Sukharev, Farid N. Najm

Fast physics-based electromigration checking for on-die power grids

Details
Discussion Comments: 0
Verification: Authors have not verified information

Arbitrary streaming permutations with minimum memory and latency

Thaddeus Koehn, Peter M. Athanas

Arbitrary streaming permutations with minimum memory and latency

Details
Discussion Comments: 0
Verification: Authors have not verified information

Interconnect-aware device targeting from PPA perspective

Mustafa Badaroglu, Jeff Xu

Interconnect-aware device targeting from PPA perspective

Details
Discussion Comments: 0
Verification: Authors have not verified information

Detailed placement for modern FPGAs using 2D dynamic programming

Shounak Dhar, Saurabh N. Adya, Love Singhal, Mahesh A. Iyer, David Z. Pan

Detailed placement for modern FPGAs using 2D dynamic programming

Details
Discussion Comments: 0
Verification: Authors have not verified information

Properties first? a new design methodology for hardware, and its perspectives in safety analysis

Joakim Urdahl, Shrinidhi Udupi, Tobias Ludwig, Dominik Stoffel, Wolfgang Kunz

Properties first? a new design methodology for hardware, and its perspectives in safety analysis

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

DSA-compliant routing for two-dimensional patterns using block copolymer lithography

Yu-Hsuan Su, Yao-Wen Chang

DSA-compliant routing for two-dimensional patterns using block copolymer lithography

Details
Discussion Comments: 0
Verification: Authors have not verified information

ODESY: a novel 3T-3MTJ cell design with optimized area DEnsity, scalability and latencY

Linuo Xue, Yuanqing Cheng, Jianlei Yang, Peiyuan Wang, Yuan Xie

ODESY: a novel 3T-3MTJ cell design with optimized area DEnsity, scalability and latencY

Details
Discussion Comments: 0
Verification: Authors have not verified information

ICCAD-2016 CAD contest in non-exact projective NPNP boolean matching and benchmark suite

Chi-An (Rocky) Wu, Chih-Jen (Jacky) Hsu, Kei-Yong Khoo

ICCAD-2016 CAD contest in non-exact projective NPNP boolean matching and benchmark suite

Details
Discussion Comments: 0
Verification: Authors have not verified information

Energy-efficient fault tolerance approach for internet of things applications

Teng Xu, Miodrag Potkonjak

Energy-efficient fault tolerance approach for internet of things applications

Details
Discussion Comments: 0
Verification: Authors have not verified information

An efficient and accurate algorithm for computing RC current response with applications to EM reliability evaluation

Zhong Guan, Malgorzata Marek-Sadowska

An efficient and accurate algorithm for computing RC current response with applications to EM reliability evaluation

Details
Discussion Comments: 0
Verification: Authors have not verified information

Approximation-aware rewriting of AIGs for error tolerant applications

Arun Chandrasekharan, Mathias Soeken, Daniel Große, Rolf Drechsler

Approximation-aware rewriting of AIGs for error tolerant applications

Details
Discussion Comments: 0
Verification: Authors have not verified information