Design Automation Conference, DAC 2017


Article Details
Title: Power and Area Efficient Hold Time Fixing by Free Metal Segment Allocation
Article URLs:
Alternative Article URLs:
Authors: Wei-Lun Chiu
  • National Chiao Tung University, Department of Electronics Engineering
Iris Hui-Ru Jiang
  • National Chiao Tung University, Department of Electronics Engineering
Chien-Pang Lu
  • MediaTek, Inc.
Yu-Tung Chang
  • MediaTek, Inc.
Sharing: Unknown
Verification: Authors have not verified information
Artifact Evaluation Badge: none
Artifact URLs:
Artifact Correspondence Email Addresses:
NSF Award Numbers:
DBLP Key: conf/dac/ChiuJLC17
Author Comments:

Discuss this paper and its artifacts below