ACM Architectural Support for Prog. Languages and Operating Systems, ASPLOS 2017


Title/Authors Title Research Artifacts
[?] A research artifact is any by-product of a research project that is not directly included in the published research paper. In Computer Science research this is often source code and data sets, but it could also be media, documentation, inputs to proof assistants, shell-scripts to run experiments, etc.
Details

Browsix: Bridging the Gap Between Unix and the Browser

Bobby Powers, John Vilk, Emery D. Berger

Browsix: Bridging the Gap Between Unix and the Browser

Details
Discussion Comments: 0
Verification: Authors have not verified information

Prophet: Precise QoS Prediction on Non-Preemptive Accelerators to Improve Utilization in Warehouse-Scale Computers

Quan Chen, Hailong Yang, Minyi Guo, Ram Srivatsa Kannan, Jason Mars, Lingjia Tang

Prophet: Precise QoS Prediction on Non-Preemptive Accelerators to Improve Utilization in Warehouse-Scale Computers

Details
Discussion Comments: 0
Verification: Authors have not verified information

Mallacc: Accelerating Memory Allocation

Svilen Kanev, Sam Likun Xi, Gu-Yeon Wei, David M. Brooks

Mallacc: Accelerating Memory Allocation

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

Automated Synthesis of Comprehensive Memory Model Litmus Test Suites

Daniel Lustig, Andrew Wright, Alexandros Papakonstantinou, Olivier Giroux

Automated Synthesis of Comprehensive Memory Model Litmus Test Suites

Details
Discussion Comments: 0
Verification: Authors have not verified information

Locality-Aware CTA Clustering for Modern GPUs

Ang Li, Shuaiwen Leon Song, Weifeng Liu, Xu Liu, Akash Kumar, Henk Corporaal

Locality-Aware CTA Clustering for Modern GPUs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Exploiting Intra-Request Slack to Improve SSD Performance

Nima Elyasi, Mohammad Arjomand, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das, Myoungsoo Jung

Exploiting Intra-Request Slack to Improve SSD Performance

Details
Author Comments:
Discussion Comments: 0
Sharing: Not able to share produced artifacts
Verification: Authors have verified information

ProRace: Practical Data Race Detection for Production Use

Tong Zhang, Changhee Jung, Dongyoon Lee

ProRace: Practical Data Race Detection for Production Use

Details
Discussion Comments: 0
Verification: Authors have not verified information

CoRAL: Confined Recovery in Distributed Asynchronous Graph Processing

Keval Vora, Chen Tian, Rajiv Gupta, Ziang Hu

CoRAL: Confined Recovery in Distributed Asynchronous Graph Processing

Details
Discussion Comments: 0
Verification: Authors have not verified information

REDSPY: Exploring Value Locality in Software

Shasha Wen, Milind Chabbi, Xu Liu

REDSPY: Exploring Value Locality in Software

Details
Discussion Comments: 0
Verification: Authors have not verified information

Optimizing CNNs on Multicores for Scalability, Performance and Goodput

Samyam Rajbhandari, Yuxiong He, Olatunji Ruwase, Michael Carbin, Trishul M. Chilimbi

Optimizing CNNs on Multicores for Scalability, Performance and Goodput

Details
Discussion Comments: 0
Verification: Authors have not verified information

3DGates: An Instruction-Level Energy Analysis and Optimization of 3D Printers

Jerry Ajay, Chen Song, Aditya Singh Rathore, Chi Zhou, Wenyao Xu

3DGates: An Instruction-Level Energy Analysis and Optimization of 3D Printers

Details
Discussion Comments: 0
Verification: Authors have not verified information

Sound Loop Superoptimization for Google Native Client

Berkeley R. Churchill, Rahul Sharma, J. F. Bastien, Alex Aiken

Sound Loop Superoptimization for Google Native Client

Details
Discussion Comments: 0
Verification: Authors have not verified information

TriCheck: Memory Model Verification at the Trisection of Software, Hardware, and ISA

Caroline Trippel, Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, Margaret Martonosi

TriCheck: Memory Model Verification at the Trisection of Software, Hardware, and ISA

Details
Discussion Comments: 0
Verification: Authors have not verified information

Moonwalk: NRE Optimization in ASIC Clouds

Moein Khazraee, Lu Zhang, Luis Vega, Michael Bedford Taylor

Moonwalk: NRE Optimization in ASIC Clouds

Details
Discussion Comments: 0
Verification: Authors have not verified information

SaberLDA: Sparsity-Aware Learning of Topic Models on GPUs

Kaiwei Li, Jianfei Chen, Wenguang Chen, Jun Zhu

SaberLDA: Sparsity-Aware Learning of Topic Models on GPUs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Translation-Triggered Prefetching

Abhishek Bhattacharjee

Translation-Triggered Prefetching

Details
Discussion Comments: 0
Verification: Author has not verified information

DudeTM: Building Durable Transactions with Decoupling for Persistent Memory

Mengxing Liu, Mingxing Zhang, Kang Chen, Xuehai Qian, Yongwei Wu, Weimin Zheng, Jinglei Ren

DudeTM: Building Durable Transactions with Decoupling for Persistent Memory

Details
Discussion Comments: 0
Verification: Authors have not verified information

AMNESIAC: Amnesic Automatic Computer

Ismail Akturk, Ulya R. Karpuzcu

AMNESIAC: Amnesic Automatic Computer

Details
Discussion Comments: 0
Verification: Authors have not verified information

Typed Architectures: Architectural Support for Lightweight Scripting

Channoh Kim, Jaehyeok Kim, Sungmin Kim, Doo-Young Kim, Namho Kim, Gitae Na, Young H. Oh, Hyeon-Gyu Cho, Jae W. Lee

Typed Architectures: Architectural Support for Lightweight Scripting

Details
Discussion Comments: 0
Verification: Authors have not verified information

Towards Practical Default-On Multi-Core Record/Replay

Ali José Mashtizadeh, Tal Garfinkel, David Terei, David Mazières, Mendel Rosenblum

Towards Practical Default-On Multi-Core Record/Replay

Details
Discussion Comments: 0
Verification: Authors have not verified information

Kill the Program Counter: Reconstructing Program Behavior in the Processor Cache Hierarchy

Jinchun Kim, Elvira Teran, Paul V. Gratz, Daniel A. Jiménez, Seth H. Pugsley, Chris Wilkerson

Kill the Program Counter: Reconstructing Program Behavior in the Processor Cache Hierarchy

Details
Discussion Comments: 0
Verification: Authors have not verified information

Verification of a Practical Hardware Security Architecture Through Static Information Flow Analysis

Andrew Ferraiuolo, Rui Xu, Danfeng Zhang, Andrew C. Myers, G. Edward Suh

Verification of a Practical Hardware Security Architecture Through Static Information Flow Analysis

Details
Discussion Comments: 0
Verification: Authors have not verified information

Thermostat: Application-transparent Page Management for Two-tiered Main Memory

Neha Agarwal, Thomas F. Wenisch

Thermostat: Application-transparent Page Management for Two-tiered Main Memory

Details
Discussion Comments: 0
Verification: Authors have not verified information

Black-box Concurrent Data Structures for NUMA Architectures

Irina Calciu, Siddhartha Sen, Mahesh Balakrishnan, Marcos K. Aguilera

Black-box Concurrent Data Structures for NUMA Architectures

Details
Discussion Comments: 0
Verification: Authors have not verified information

IncBricks: Toward In-Network Computation with an In-Network Cache

Ming Liu, Liang Luo, Jacob Nelson, Luis Ceze, Arvind Krishnamurthy, Kishore Atreya

IncBricks: Toward In-Network Computation with an In-Network Cache

Details
Discussion Comments: 0
Verification: Authors have not verified information

An Architecture Supporting Formal and Compositional Binary Analysis

Joseph McMahan, Michael Christensen, Lawton Nichols, Jared Roesch, Sung-Yee Guo, Ben Hardekopf, Timothy Sherwood

An Architecture Supporting Formal and Compositional Binary Analysis

Details
Discussion Comments: 0
Verification: Authors have not verified information

Towards "Full Containerization" in Containerized Network Function Virtualization

Yang Hu, Mingcong Song, Tao Li

Towards "Full Containerization" in Containerized Network Function Virtualization

Details
Discussion Comments: 0
Verification: Authors have not verified information

ReFlex: Remote Flash ≈ Local Flash

Ana Klimovic, Heiner Litz, Christos Kozyrakis

ReFlex: Remote Flash ≈ Local Flash

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

Big Data Analytics and Intelligence at Alibaba Cloud

Jingren Zhou

Big Data Analytics and Intelligence at Alibaba Cloud

Details
Discussion Comments: 0
Verification: Author has not verified information

Improving Datacenter Efficiency

Ricardo Bianchini

Improving Datacenter Efficiency

Details
Discussion Comments: 0
Verification: Author has not verified information

An Analysis of Persistent Memory Use with WHISPER

Sanketh Nalli, Swapnil Haria, Mark D. Hill, Michael M. Swift, Haris Volos, Kimberly Keeton

An Analysis of Persistent Memory Use with WHISPER

Details
Discussion Comments: 0
Verification: Authors have not verified information

Graspan: A Single-machine Disk-based Graph System for Interprocedural Static Analyses of Large-scale Systems Code

Kai Wang, Aftab Hussain, Zhiqiang Zuo, Guoqing (Harry) Xu, Ardalan Amiri Sani

Graspan: A Single-machine Disk-based Graph System for Interprocedural Static Analyses of Large-scale Systems Code

Details
Discussion Comments: 0
Verification: Authors have not verified information

Locality Transformations for Nested Recursive Iteration Spaces

Kirshanthan Sundararajah, Laith Sakka, Milind Kulkarni

Locality Transformations for Nested Recursive Iteration Spaces

Details
Discussion Comments: 0
Verification: Authors have not verified information

KickStarter: Fast and Accurate Computations on Streaming Graphs via Trimmed Approximations

Keval Vora, Rajiv Gupta, Guoqing (Harry) Xu

KickStarter: Fast and Accurate Computations on Streaming Graphs via Trimmed Approximations

Details
Discussion Comments: 0
Verification: Authors have not verified information

Voltage Regulator Efficiency Aware Power Management

Yuxin Bai, Victor W. Lee, Engin Ipek

Voltage Regulator Efficiency Aware Power Management

Details
Discussion Comments: 0
Verification: Authors have not verified information

CHERI JNI: Sinking the Java Security Model into the C

David Chisnall, Brooks Davis, Khilan Gudka, David Brazdil, Alexandre Joannou, Jonathan Woodruff, A. Theodore Markettos, J. Edward Maste, Robert M. Norton, Stacey D. Son, Michael Roe, Simon W. Moore, Peter G. Neumann, Ben Laurie, Robert N. M. Watson

CHERI JNI: Sinking the Java Security Model into the C

Details
Discussion Comments: 0
Verification: Authors have not verified information

Efficient Address Translation for Architectures with Multiple Page Sizes

Guilherme Cox, Abhishek Bhattacharjee

Efficient Address Translation for Architectures with Multiple Page Sizes

Details
Discussion Comments: 0
Verification: Authors have not verified information

Failure-Atomic Slotted Paging for Persistent Memory

Jihye Seo, Wook-Hee Kim, Woongki Baek, Beomseok Nam, Sam H. Noh

Failure-Atomic Slotted Paging for Persistent Memory

Details
Discussion Comments: 0
Verification: Authors have not verified information

AsyncClock: Scalable Inference of Asynchronous Event Causality

Chun-Hung Hsiao, Satish Narayanasamy, Essam Muhammad Idris Khan, Cristiano L. Pereira, Gilles A. Pokam

AsyncClock: Scalable Inference of Asynchronous Event Causality

Details
Discussion Comments: 0
Verification: Authors have not verified information

Hardware-Software Co-design to Mitigate DRAM Refresh Overheads: A Case for Refresh-Aware Process Scheduling

Jagadish B. Kotra, Narges Shahidi, Zeshan A. Chishti, Mahmut T. Kandemir

Hardware-Software Co-design to Mitigate DRAM Refresh Overheads: A Case for Refresh-Aware Process Scheduling

Details
Discussion Comments: 0
Verification: Authors have not verified information

Dynamic Resource Management for Efficient Utilization of Multitasking GPUs

Jason Jong Kyu Park, Yongjun Park, Scott A. Mahlke

Dynamic Resource Management for Efficient Utilization of Multitasking GPUs

Details
Discussion Comments: 0
Verification: Authors have not verified information

Determining Application-specific Peak Power and Energy Requirements for Ultra-low Power Processors

Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar, John Sartori

Determining Application-specific Peak Power and Energy Requirements for Ultra-low Power Processors

Details
Discussion Comments: 0
Verification: Authors have not verified information

Crossing Guard: Mediating Host-Accelerator Coherence Interactions

Lena E. Olson, Mark D. Hill, David A. Wood

Crossing Guard: Mediating Host-Accelerator Coherence Interactions

Details
Author Comments:
Discussion Comments: 0
Sharing: Research produced artifacts
Verification: Authors have verified information

DCatch: Automatically Detecting Distributed Concurrency Bugs in Cloud Systems

Haopeng Liu, Guangpu Li, Jeffrey F. Lukman, Jiaxin Li, Shan Lu, Haryadi S. Gunawi, Chen Tian

DCatch: Automatically Detecting Distributed Concurrency Bugs in Cloud Systems

Details
Discussion Comments: 0
Verification: Authors have not verified information

Pallas: Semantic-Aware Checking for Finding Deep Bugs in Fast Path

Jian Huang, Michael Allen-Bond, Xuechen Zhang

Pallas: Semantic-Aware Checking for Finding Deep Bugs in Fast Path

Details
Discussion Comments: 0
Verification: Authors have not verified information

TETRIS: Scalable and Efficient Neural Network Acceleration with 3D Memory

Mingyu Gao, Jing Pu, Xuan Yang, Mark Horowitz, Christos Kozyrakis

TETRIS: Scalable and Efficient Neural Network Acceleration with 3D Memory

Details
Discussion Comments: 0
Verification: Authors have not verified information

Enabling Lightweight Transactions with Precision Time

Pulkit A. Misra, Jeffrey S. Chase, Johannes Gehrke, Alvin R. Lebeck

Enabling Lightweight Transactions with Precision Time

Details
Discussion Comments: 0
Verification: Authors have not verified information

SC-DCNN: Highly-Scalable Deep Convolutional Neural Network using Stochastic Computing

Ao Ren, Zhe Li, Caiwen Ding, Qinru Qiu, Yanzhi Wang, Ji Li, Xuehai Qian, Bo Yuan

SC-DCNN: Highly-Scalable Deep Convolutional Neural Network using Stochastic Computing

Details
Discussion Comments: 0
Verification: Authors have not verified information

Page Fault Support for Network Controllers

Ilya Lesokhin, Haggai Eran, Shachar Raindel, Guy Shapiro, Sagi Grimberg, Liran Liss, Muli Ben-Yehuda, Nadav Amit, Dan Tsafrir

Page Fault Support for Network Controllers

Details
Discussion Comments: 0
Verification: Authors have not verified information

History-Based Arbitration for Fairness in Processor-Interconnect of NUMA Servers

WonJun Song, Gwangsun Kim, Hyungjoon Jung, Jongwook Chung, Jung Ho Ahn, Jae W. Lee, John Kim

History-Based Arbitration for Fairness in Processor-Interconnect of NUMA Servers

Details
Discussion Comments: 0
Verification: Authors have not verified information

Approximate Storage of Compressed and Encrypted Videos

Djordje Jevdjic, Karin Strauss, Luis Ceze, Henrique S. Malvar

Approximate Storage of Compressed and Encrypted Videos

Details
Discussion Comments: 0
Verification: Authors have not verified information

Neurosurgeon: Collaborative Intelligence Between the Cloud and Mobile Edge

Yiping Kang, Johann Hauswald, Cao Gao, Austin Rovinski, Trevor N. Mudge, Jason Mars, Lingjia Tang

Neurosurgeon: Collaborative Intelligence Between the Cloud and Mobile Edge

Details
Discussion Comments: 0
Verification: Authors have not verified information

GRIFFIN: Guarding Control Flows Using Intel Processor Trace

Xinyang Ge, Weidong Cui, Trent Jaeger

GRIFFIN: Guarding Control Flows Using Intel Processor Trace

Details
Discussion Comments: 0
Verification: Authors have not verified information

Bolt: I Know What You Did Last Summer... In The Cloud

Christina Delimitrou, Christos Kozyrakis

Bolt: I Know What You Did Last Summer... In The Cloud

Details
Discussion Comments: 0
Verification: Authors have not verified information

Breaking the Boundaries in Heterogeneous-ISA Datacenters

Antonio Barbalace, Robert Lyerly, Christopher Jelesnianski, Anthony Carno, Ho-Ren Chuang, Vincent Legout, Binoy Ravindran

Breaking the Boundaries in Heterogeneous-ISA Datacenters

Details
Discussion Comments: 0
Verification: Authors have not verified information

What Scalable Programs Need from Transactional Memory

Donald Nguyen, Keshav Pingali

What Scalable Programs Need from Transactional Memory

Details
Discussion Comments: 0
Verification: Authors have not verified information

Identifying Security Critical Properties for the Dynamic Verification of a Processor

Rui Zhang, Natalie Stanley, Christopher Griggs, Andrew Chi, Cynthia Sturton

Identifying Security Critical Properties for the Dynamic Verification of a Processor

Details
Discussion Comments: 0
Verification: Authors have not verified information

FLEP: Enabling Flexible and Efficient Preemption on GPUs

Bo Wu, Xu Liu, Xiaobo Zhou, Changjun Jiang

FLEP: Enabling Flexible and Efficient Preemption on GPUs

Details
Discussion Comments: 0
Verification: Authors have not verified information